Librería LCD 4 bits en VHDL.

Librería LCD 4 bits en VHDL.
Librería LCD que te permite controlar una LCD 16x2 a 4 bits mediante comandos simples utilizando lenguaje VHDL. La librería ofrece la posibilidad de mandar a escribir texto, números enteros, caracteres a partir de su valor en ASCII e inclusive se pueden crear caracteres personalizados (Caracte res especiales).
> CLK (Entrada – 1 bit): Reloj de la tarjeta a 50 Mhz.
> BLCD (Bandera – 8 bits): Bandera de 8 bits que indica que se ha finalizado de ejecutar un comando.
> DATA_LCD(Salida – 4 bitS): Puerto de salida conectado a los 4 bits de datos de la LCD (D7 - D4)
> ENA (Salida – 1 bit): Pin de salida que irá conectado al enable (E) de la LCD.
> RS (Salida – 1 bit): Pin de salida que irá conectado al RS de la LCD.
> RW (Salida – 1 bit): Pin de salida que irá conectado al RW de la LCD.
COMANDO
FUNCIÓN
VALOR BLCD
LCD_INI()
Inicializa la LCD.
x"01"
CHAR()
Manda a escribir letras.
x"02"
INT_NUM()
Manda a escribir números.
x"05"
POS()
Determina la posición del cursor.
x"03"
CHAR_ASCII()
Escribe caracteres a partir de su valor
en ASCII.
x"05"
LIMPIAR_PANTALLA()
Limpia la LCD.
x"08"
CREAR_CHAR()
Crea un carácter personalizado.
x”09”
CHAR_CREADO()
Muestra en la LCD el carácter creado.
x”0A”
BUCLE_INI()
Indica el inicio de un bucle infinito.
x"06"
BUCLE_FIN()
Indica el final de un bucle infinito.
x"07"
CODIGO_FIN()
Finaliza el código para LCD.
--